<span id="plx27"><var id="plx27"></var></span>
<dfn id="plx27"><var id="plx27"></var></dfn>
  • <span id="plx27"><code id="plx27"><input id="plx27"></input></code></span>
    <menu id="plx27"></menu><menuitem id="plx27"><thead id="plx27"><input id="plx27"></input></thead></menuitem>
  • <label id="plx27"><code id="plx27"></code></label>
    <label id="plx27"><button id="plx27"></button></label>

    微型計(jì)算機(jī)系統(tǒng)概論

    上傳人:清****歡 文檔編號(hào):243158169 上傳時(shí)間:2024-09-17 格式:PPT 頁(yè)數(shù):68 大?。?.36MB
    收藏 版權(quán)申訴 舉報(bào) 下載
    微型計(jì)算機(jī)系統(tǒng)概論_第1頁(yè)
    第1頁(yè) / 共68頁(yè)
    微型計(jì)算機(jī)系統(tǒng)概論_第2頁(yè)
    第2頁(yè) / 共68頁(yè)
    微型計(jì)算機(jī)系統(tǒng)概論_第3頁(yè)
    第3頁(yè) / 共68頁(yè)

    下載文檔到電腦,查找使用更方便

    15 積分

    下載資源

    還剩頁(yè)未讀,繼續(xù)閱讀

    資源描述:

    《微型計(jì)算機(jī)系統(tǒng)概論》由會(huì)員分享,可在線閱讀,更多相關(guān)《微型計(jì)算機(jī)系統(tǒng)概論(68頁(yè)珍藏版)》請(qǐng)?jiān)谘b配圖網(wǎng)上搜索。

    1、,單擊此處編輯母版標(biāo)題樣式,*,單擊此處編輯母版文本樣式,第二級(jí),第三級(jí),第四級(jí),第五級(jí),第一章 微型計(jì)算機(jī)系統(tǒng)概論,第二章,8086/8088,微處理器,第三章,8086,微處理器的指令系統(tǒng),第四章 匯編語(yǔ)言程序設(shè)計(jì),第五章 存儲(chǔ)器系統(tǒng),第六章 輸入,/,輸出接口,第七章 中斷與中斷控制,第八章,I/O,接口技術(shù),第九章 數(shù)模模數(shù)轉(zhuǎn)換,第十章 串行通訊技術(shù),第一章 微型計(jì)算機(jī)系統(tǒng)概論,基本概念,微型計(jì)算機(jī)系統(tǒng),微型計(jì)算機(jī)組成:,CPU,、存儲(chǔ)器、,I/O,接口、總線,掌握總線的概念和分類(lèi)(按傳輸信息分):地址總線、數(shù)據(jù)總線、控制總線,微處理器、單片機(jī)、單板機(jī)基本概念,馮諾依曼機(jī)的硬件體系結(jié)構(gòu)

    2、,計(jì)算機(jī)內(nèi)信息表示,微處理器,嚴(yán)格講,微處理器,CPU,CPU ,計(jì)算機(jī)中執(zhí)行運(yùn)算和控制功能的區(qū)域,由,算術(shù)邏輯部件,(ALU),和,控制部件,(CU),兩大主要部分組成,微處理器,把,CPU,和,一組,稱(chēng)為,寄存器,(,Registers,)的特殊存儲(chǔ)器集成在一片大規(guī)模集成電路或超大規(guī)模集成電路封裝之中,微處理器 微型計(jì)算機(jī) 微型計(jì)算機(jī)系統(tǒng),微型計(jì)算機(jī),以微處理器為核心,配上由大規(guī)模集成電路制作的只讀存儲(chǔ)器(,ROM,)、讀寫(xiě)存儲(chǔ)器(,RAM,)、輸入輸出接口電路及系統(tǒng),總線,等所組成的計(jì)算機(jī),稱(chēng)為,微型計(jì)算機(jī),。,將這些組成部分集成在一片超大規(guī)模集成電路芯片上,稱(chēng)為單片微型計(jì)算機(jī),簡(jiǎn)稱(chēng),單

    3、片機(jī),。,微型計(jì)算機(jī)系統(tǒng),微型計(jì)算機(jī)系統(tǒng),以,微型計(jì)算機(jī),為中心,配以相應(yīng)的,外圍設(shè)備,以及控制微型計(jì)算機(jī)工作的,軟件,(軟件分為系統(tǒng)軟件和應(yīng)用軟件兩大類(lèi))。,微型計(jì)算機(jī)如果不配有軟件,通常稱(chēng)為裸機(jī)。,單板機(jī),將微型計(jì)算機(jī)的各個(gè)部分都集成在一塊印制電路板上,并配以簡(jiǎn)單的外設(shè)(如鍵盤(pán))等,構(gòu)成的一個(gè)簡(jiǎn)單的計(jì)算機(jī)系統(tǒng)。,算術(shù)邏輯單元,(ALU),控制器,內(nèi)部總線,微處理器,(CPU),存儲(chǔ)器(,RAM,、,ROM,),I/O,接口,系統(tǒng)總線,外圍設(shè)備,系統(tǒng)軟件、應(yīng)用軟件、數(shù)據(jù)庫(kù)等,微 型 計(jì) 算 機(jī) 系 統(tǒng),微型計(jì)算機(jī),馮,諾依曼結(jié)構(gòu):,由運(yùn)算器、控制器、存儲(chǔ)器、輸入設(shè)備和輸出設(shè)備五大部分組成,微

    4、型計(jì)算機(jī)的硬件體系結(jié)構(gòu),控制器,輸入設(shè)備 存儲(chǔ)器 輸出設(shè)備,運(yùn)算器,數(shù)據(jù)和程序以二進(jìn)制代碼的形式表示,數(shù)據(jù)和程序存放在存儲(chǔ)器中,存放位置由地址指定,地址碼也是二進(jìn)制形式,控制器根據(jù)存放在存儲(chǔ)器中的指令序列(即程序)工作,并由一個(gè),程序計(jì)數(shù)器(,PC,),控制指令的執(zhí)行。,CPU,(,中央處理單元,),Central Processing,Unit,ALU,(,算術(shù)邏輯單元,),Arithmetic Logic Unit,控制器,Memory,(,存儲(chǔ)器,),RAM,(,讀寫(xiě)存儲(chǔ)器,),ROM,(,只讀存儲(chǔ)器,),I/O,接口主機(jī)與外設(shè)的匹配連接,BUS,(,總線,),AB,(,地址總線,),D

    5、B,(,數(shù)據(jù)總線,),CB,(,控制總線,),總線:傳遞信息的一組公共通信線,是傳送信息的公共通道,微機(jī)系統(tǒng)采用總線結(jié)構(gòu)連接系統(tǒng)功能部件,。,數(shù)據(jù)總線(,DB,:,Data Bus,),處理器與存儲(chǔ)器或外設(shè)交換信息的通道,個(gè)數(shù)(條數(shù))是一次能夠傳送數(shù)據(jù)的二進(jìn)制位數(shù),地址總線(,AB,:,Address Bus,),指定存儲(chǔ)器或外設(shè)的具體單元,個(gè)數(shù)(條數(shù))反映訪問(wèn)的主存儲(chǔ)器容量或外設(shè)范圍,控制總線(,CB,:,Control Bus,),控制處理器數(shù)據(jù)傳送等操作,一個(gè)信號(hào)兩種狀態(tài)(高或低)兩種編碼(,1,或,0,),N,:,2,N,地址就是號(hào)碼,計(jì)算機(jī)中的信息表示和處理,計(jì)算機(jī)中信息以二進(jìn)制形式

    6、存儲(chǔ),書(shū)寫(xiě)時(shí)常用,16,進(jìn)制,無(wú)符號(hào)數(shù)表示,掌握二進(jìn)制無(wú)符號(hào)數(shù)表示,掌握,BCD,碼(壓縮,BCD,碼、非壓縮,BCD,碼),有符號(hào)數(shù)表示,原碼、補(bǔ)碼、反碼表示方法,一個(gè)字節(jié)有符號(hào)數(shù)的范圍、一個(gè)字的補(bǔ)碼所表示的范圍,溢出,能夠進(jìn)行有符號(hào)數(shù)運(yùn)算溢出判斷,ASCII,碼,熟記,09,、,AF,、,af,的,ASCII,碼,了解漢字內(nèi)碼的概念,位和字節(jié),位,(bit),是計(jì)算機(jī)所能表示的最小最基本的數(shù)據(jù)單位,;,取值只能為,0,或,1,的一個(gè)二進(jìn)制數(shù)值位。位作為單位時(shí)記作,b,字節(jié),(byte),由,8,位二進(jìn)制位組成,通常用作計(jì)算存儲(chǔ)容量的單位。字節(jié)作為單位時(shí)記作,B,K,是,kelo,的縮寫(xiě),,

    7、1K=1024B=2,10,;,M,是,mega,的縮寫(xiě),,1M=1024K=2,20,;,G,是,giga,的縮寫(xiě),,1G=1024M=2,30,;,T,是,tera,的縮寫(xiě),,1T=1024G=2,40,。,硬件基礎(chǔ)知識(shí),三態(tài)門(mén)、,D,觸發(fā)器、邏輯門(mén)的功能及其符號(hào)表示,4LS244,、,74LS245,、,74LS273,、,74LS373,的功能,計(jì)算機(jī)總線連接規(guī)則,計(jì)算機(jī)部件輸出數(shù)據(jù)到總線時(shí)應(yīng)加三態(tài)緩沖電路、總線輸出到計(jì)算機(jī)部件時(shí)通常通過(guò)鎖存器鎖存,計(jì)算機(jī)中信息分時(shí)傳送原理,計(jì)算機(jī)總線,-,三態(tài)門(mén)和,D,觸發(fā)器在總線中的應(yīng)用,三態(tài)門(mén):增加驅(qū)動(dòng),用作數(shù)據(jù)輸入時(shí)的緩沖。,D,觸發(fā)器,:信號(hào)

    8、保持,用作信息輸出時(shí)的鎖存。,多個(gè)器件接入總線時(shí),一定要使用三態(tài)電路,當(dāng)需要使用總線的時(shí)候打開(kāi)三態(tài)門(mén),進(jìn)行信息傳遞。,當(dāng)不需要使用總線時(shí),關(guān)閉三態(tài)門(mén),使之處于高阻狀態(tài),而不影響別的器件使用總線。,第二章,8086/8088,微處理器,8086,微處理器內(nèi)部結(jié)構(gòu),總線接口單元,BIU (Bus Interface Unit),:,主要負(fù)責(zé)物理地址的形成、預(yù)取指令、指令隊(duì)列排隊(duì)、讀,/,寫(xiě)操作數(shù)和總線控制。,執(zhí)行單元,EU (Execution Unit),:,主要負(fù)責(zé)指令譯碼和執(zhí)行,8086/8088,微處理器程序執(zhí)行過(guò)程,指令隊(duì)列中出現(xiàn)兩個(gè)字節(jié)為空時(shí)自動(dòng)按,CS,值和,IP,值組成,20,位實(shí)

    9、際物理地址到存儲(chǔ)器中取指令,一次取兩個(gè)字節(jié)指令存放到指令隊(duì)列中。,EU,從,BIU,指令隊(duì)列中讀取指令。,由,EU,控制電路對(duì)指令進(jìn)行譯碼分析,指出操作性質(zhì)及操作對(duì)象。,EU,執(zhí)行指令,如果執(zhí)行指令時(shí)必需訪問(wèn)存儲(chǔ)器或者,I/O,端口,則在,EU,中計(jì)算出操作數(shù)的,16,位地址偏移量送給,BIU,,由,BIU,的地址加法器形成,20,位物理地址。,BIU,根據(jù),EU,請(qǐng)求,將操作數(shù),20,位物理地址傳遞給存儲(chǔ)器。,BIU,取來(lái)操作數(shù)經(jīng)總線控制邏輯傳送到內(nèi)部,EU,數(shù)據(jù)總線。,EU,將取來(lái)的操作數(shù)從內(nèi)部總線送入,ALU,,進(jìn)行指令指定的操作。,EU,運(yùn)算出的結(jié)果,經(jīng)內(nèi)部總線送到指定位置,若需要傳送

    10、給存儲(chǔ)器或者,I/O,端口,則由,EU,請(qǐng)求,BIU,產(chǎn)生,20,位實(shí)際目標(biāo)地址,將結(jié)果寫(xiě)入存儲(chǔ)器或者,I/O,接口。,總線接口單元,BIU,的組成,段寄存器:,CS,、,DS,、,ES,、,SS,指令指針寄存器,IP,指令隊(duì)列,地址加法器,其它組成部分:總線控制邏輯、暫存器,掌握,BIU,功能,執(zhí)行單元,EU,的組成,數(shù)據(jù)寄存器:,AX,、,BX,、,CX,、,DX,地址指針寄存器:,SP,、,BP,、,SI,、,DI,邏輯運(yùn)算單元,ALU,標(biāo)志寄存器,FLAGS,(,6,個(gè)狀態(tài)標(biāo)志和,3,個(gè)控制標(biāo)志),掌握,EU,功能,8086,存儲(chǔ)器和,I/O,端口管理,8086,對(duì)存儲(chǔ)器的分段管理,段

    11、地址、偏移地址、邏輯地址、物理地址基本概念,20,位物理地址的形成方法,掌握,8086,對(duì)存儲(chǔ)器和,I/O,端口的編址,地址指針寄存器,SP,、,BP,、,SI,、,DI,以及,IP,、,BX,尋址時(shí)所默認(rèn)的段及段超越概念,一,.,分段管理技術(shù),1.,采用“分段管理”的原因,Intel 8086,為標(biāo)準(zhǔn)的,16,位微處理器,,20,根地址總線管理,1MB,的存儲(chǔ)空間。,8086 CPU,中所使用的寄存器均是,16,位的,內(nèi)部,ALU,也只能進(jìn)行,16,位運(yùn)算,其尋址范圍局限在,216,65536(64K),字節(jié)單元。為了實(shí)現(xiàn)對(duì),1M,字節(jié)單元的尋址,,80x86,系統(tǒng)采用了存儲(chǔ)器分段技術(shù)。,8

    12、086,對(duì)主存儲(chǔ)器的分段管理,數(shù)據(jù)段段基址,(DS),數(shù)據(jù)段內(nèi)偏移地址,擴(kuò)展段段基址,(ES),堆棧段段基址,(SS),代碼段段基址,(CS),每段地,址空間,最大,64K,數(shù)據(jù)段,擴(kuò)展段,堆棧段,代碼段,物理地址,=,段地址,*16+,偏移地址,每個(gè)存儲(chǔ)單元都具有一個(gè)唯一的,20,位編號(hào),即,物理地址,,從,00000H,FFFFFH,。,每段起始位置的,20,位實(shí)際物理地址中的高,16,位稱(chēng)為段地址,(,段基址,),,每段中某個(gè)存儲(chǔ)單元距段起始位置的偏移量稱(chēng)為偏移地址。段地址和偏移地址合稱(chēng)為邏輯地址。,邏輯地址和物理地址,邏輯地址(,Logical Address,),在微處理器內(nèi)部、程序

    13、員編程時(shí)采用的地址,某個(gè)存儲(chǔ)單元可以有多個(gè)邏輯地址,邏輯地址,段基地址,偏移地址,段基地址,邏輯段在主存中的起始地址,偏移地址,距離段基地址的位移量,物理地址(,Physical Address,),地址總線上輸出的地址,用于外部硬件連接,每個(gè)存儲(chǔ)單元只有一個(gè)唯一的物理地址,邏輯地址由微處理器在輸出之前轉(zhuǎn)換為物理地址,108,208,308,106,206,306,107,207,307,109,209,309,110,105,104,103,102,101,210,205,204,203,202,201,310,305,304,303,302,301,08,18,28,06,16,26,07

    14、,17,27,09,19,29,10,05,04,03,02,01,20,15,14,13,12,11,30,25,24,23,22,21,邏輯地址相對(duì)地址:,205,(,2,層,05,號(hào)房間),物理地址絕對(duì)地址:,15,(第,15,號(hào)房間),示意圖,8086,系統(tǒng)和外部設(shè)備之間通過(guò),I/O,芯片接口,每個(gè),I/O,芯片對(duì)應(yīng)一個(gè)或幾個(gè)端口。,8086,對(duì),I/O,端口操作,需要專(zhuān)門(mén)的,IN,、,OUT,指令,并且只能通過(guò)累加器(,AX/AL,)來(lái)完成。,存儲(chǔ)器和,I/O,端口分別獨(dú)立編址,存儲(chǔ)器的地址范圍是,00000 0FFFFFH,I/O,端口的地址范圍是,0000 0FFFFH,8086

    15、,對(duì),I/O,的管理,8086,引腳信號(hào)和工作模式,掌握最小模式、最大模式基本概念,掌握,8086,最小模式下引腳信號(hào)和功能(,READY,引腳和,/TEST,引腳僅作了解),掌握,8086/8088,最小模式下的總線連接,了解,8086/8088,最大模式下的總線連接,了解,8086,最大模式下重新定義的,8,個(gè)引腳信號(hào)功能,了解,8088,與,8086,引腳信號(hào)和功能的區(qū)別,8086,操作和時(shí)序,了解,8086/8088,時(shí)鐘電路和復(fù)位電路,掌握,8086/8088,的復(fù)位操作,了解微機(jī)的啟動(dòng)過(guò)程,掌握時(shí)鐘周期、總線周期、指令周期基本概念,了解存儲(chǔ)器讀,/,寫(xiě)時(shí)序、,I/O,端口讀,/,寫(xiě)

    16、時(shí)序,第三章,8086,微處理器的指令系統(tǒng),掌握,8086/8088 CPU,尋址方式,獲得數(shù)據(jù)或者數(shù)據(jù)地址信息的方式稱(chēng)為,尋址方式,數(shù)據(jù)尋址方式,寄存器相對(duì)尋址,基址變址尋址,相對(duì)基址變址尋址,I/O,端口直接尋址,I/O,端口間接尋址,隱含尋址,立即數(shù)尋址,寄存器尋址,直接尋址,寄存器間接尋址,程序?qū)ぶ贩绞?段內(nèi)直接尋址,近跳轉(zhuǎn),遠(yuǎn)跳轉(zhuǎn),段內(nèi)間接尋址,段間直接尋址,段間間接尋址,熟練掌握,8086/8088 CPU,指令系統(tǒng),傳送類(lèi)指令,MOV,、,PUSH,、,POP,、,XCHG,、,IN,、,OUT,、,XLAT,、,LEA,、,LDS,、,LES,、,SAHF,、,LAHF,、,P

    17、USHF,、,POPF,算術(shù)運(yùn)算類(lèi)指令,ADD,、,ADC,、,INC,、,SUB,、,SBB,、,DEC,、,CMP,、,NEG,、,MUL,、,IMUL,、,DIV,、,IDIV,、,CBW,、,CWD,BCD,碼調(diào)整指令,掌握,DAA,調(diào)整指令,了解,DAS,、,AAA,、,AAS,、,AAM,、,AAD,指令,邏輯運(yùn)算及移位操作指令,AND,、,OR,、,NOT,、,XOR,、,TEST,、,SHL,、,SHR,、,SAL,、,SAR,、,ROL,、,ROR,、,RCL,、,RCR,串操作指令,MOVS,、,STOS,、,LODS,、,CMPS,、,SCAS,掌握重復(fù)前綴使用:,REP

    18、,、,REPZ/REPE,、,REPNZ/REPNE,控制轉(zhuǎn)移類(lèi)指令,掌握子程序調(diào)用和返回指令:,CALL,、,RET,、,RET n,掌握無(wú)條件轉(zhuǎn)移和條件轉(zhuǎn)移指令,條件轉(zhuǎn)移指令:,判斷單個(gè)狀態(tài)標(biāo)志的條件轉(zhuǎn)移指令:,JZ,、,JNZ,、,JS,、,JNS,、,JO,、,JNO,、,JP,、,JNP,、,JC,、,JNC,判斷無(wú)符號(hào)大小的條件轉(zhuǎn)移指令:,JA,(,JNBE,)、,JAE,(,JNB,)、,JE,(,JZ,)、,JNE,(,JNZ,)、,JB,(,JNAE,)、,JBE,(,JNA,),判斷有符號(hào)數(shù)大小的條件轉(zhuǎn)移指令:,JG,(,JNLE,)、,JGE,(,JNL,)、,JL,(,

    19、JNGE,)、,JLE,(,JNG,),判斷,CX,寄存器的條件轉(zhuǎn)移指令:,JCXZ,掌握循環(huán)控制指令,LOOP,、,LOOPZ,(,LOOPE,)、,LOOPNZ,(,LOOPNE,),掌握中斷指令:,INT n,、,IRET,、,INTO,掌握,CPU,控制類(lèi)指令,掌握標(biāo)志控制類(lèi)指令,CLC/STC/CMC,CLD/STD,CLI/STI,了解處理器控制指令:,NOP,、,HLT,、,WAIT,、,ESC,、,LOCK,第四章 匯編語(yǔ)言程序設(shè)計(jì),偽指令,常用偽指令:,DB,、,DW,、,DD,、,DQ,、,ORG,、,OFFSET,、,SEG,、,PTR,、,ASSUME,、,EQU,、,

    20、$,、,DUP,等,掌握段的定義:,SEGMENT / ENDS,掌握過(guò)程的定義:,PROC / ENDP,了解匯編語(yǔ)言中的標(biāo)記(標(biāo)號(hào)、注釋等),DOS,功能調(diào)用,程序結(jié)構(gòu),程序由語(yǔ)句構(gòu)成,每條語(yǔ)句占一行。,指令性語(yǔ)句,(,指令語(yǔ)句,),指示性語(yǔ)句,(,偽指令語(yǔ)句,),分段結(jié)構(gòu),程序按段編寫(xiě),與,8086,內(nèi)存分段編址對(duì)應(yīng),段由偽操作,SEGMENT,開(kāi)始、,ENDS,結(jié)束,程序最后,END,結(jié)束語(yǔ)句,后跟啟動(dòng)地址,啟動(dòng)地址指程序開(kāi)始執(zhí)行第一條語(yǔ)句。,程序中設(shè)有返回,DOS,的功能。,使程序執(zhí)行完后返回,DOS,系統(tǒng)的命令接受狀態(tài)。,程序中用到內(nèi)存操作數(shù)時(shí),按操作數(shù)的尋址方式,給相應(yīng)的段寄存器

    21、賦值,;,匯編語(yǔ)言程序結(jié)構(gòu)例,;,實(shí)現(xiàn)數(shù)據(jù)傳送功能,aa SEGMENT,;,數(shù)據(jù)段,1,str1 DB Hello!,aa ENDS,bb SEGMENT,;,數(shù)據(jù)段,2,str2 DB 6 dup (?),bb ENDS,cc SEGMENT,;,代碼段,ASSUME CS:cc, DS:aa, ES:bb,start: CLD,MOV AX , aa,MOV DS , AX,LEA SI , str1,MOV AX , SEG str2,MOV ES , AX,MOV DI ,OFFSET str2,MOV CX , 6,REP MOVSB,MOV AH , 4CH,INT 21H,;,

    22、返回,DOS,cc ENDS,END start,;,指示程序結(jié)束,熟練掌握使用匯編語(yǔ)言設(shè)計(jì)程序,順序結(jié)構(gòu)程序設(shè)計(jì),分支結(jié)構(gòu)程序設(shè)計(jì),循環(huán)程序設(shè)計(jì),子程序設(shè)計(jì),子程序的參數(shù)傳遞方法,通過(guò)寄存器傳遞參數(shù),通過(guò)全局變量傳遞參數(shù),通過(guò)堆棧傳遞參數(shù),通過(guò)代碼段來(lái)傳遞參數(shù),字符串處理,碼制轉(zhuǎn)換程序,第五章 存儲(chǔ)器系統(tǒng),存儲(chǔ)器基本知識(shí),存儲(chǔ)器按材料分類(lèi):磁性存儲(chǔ)器、光盤(pán)、半導(dǎo)體存儲(chǔ)器,存儲(chǔ)器按位置分類(lèi),內(nèi)部存儲(chǔ)器,內(nèi)部,CACHE,外部,CACHE,外部存儲(chǔ)器,外部存儲(chǔ)器,半導(dǎo)體存儲(chǔ)器按功能分類(lèi):,隨機(jī)存取存儲(chǔ)器,RAM,DARM,SRAM,只讀存儲(chǔ)器,掩膜型,ROM,可編程,ROM,(,PROM,),紫

    23、外線可擦除、可編程,ROM,(,EPROM,),可用電擦除、可編程,ROM,(,E,2,PROM,),存儲(chǔ)器擴(kuò)展方法,存儲(chǔ)器數(shù)據(jù)寬度擴(kuò)展方法,多個(gè)位擴(kuò)充的存儲(chǔ)芯片的數(shù)據(jù)線連接于系統(tǒng)數(shù)據(jù)總線的不同位數(shù),其它連接都一樣,這些芯片應(yīng)被看作是一個(gè)整體,存儲(chǔ)器字節(jié)數(shù)擴(kuò)展方法,通過(guò)將存儲(chǔ)芯片的片選端與系統(tǒng)的高位地址線相關(guān)聯(lián)來(lái)實(shí)現(xiàn),8086/8088,計(jì)算機(jī)與存儲(chǔ)器連接,了解,8086/8088,存儲(chǔ)器空間,8086,系統(tǒng)有,20,根地址線,,16,根數(shù)據(jù)線,尋址空間為,1,MB,偶地址數(shù)據(jù),由數(shù)據(jù)線低8位傳送,奇地址數(shù)據(jù)由數(shù)據(jù)線高8位傳送,奇,、,偶地址數(shù)據(jù)存取分別由,BHE,和,A0,控制,存儲(chǔ)器連接,

    24、存儲(chǔ)芯片的數(shù)據(jù)線,存儲(chǔ)芯片的地址線,存儲(chǔ)芯片的片選端,存儲(chǔ)芯片的讀寫(xiě)控制線,8088,全地址譯碼方式的存儲(chǔ)器連接,8086,全地址譯碼方式的存儲(chǔ)器連接,部分譯碼方式、線譯碼方式、不譯碼方式的存儲(chǔ)器連接,全譯碼方式,使用全部微處理器地址總線,片內(nèi)尋址:低位地址與存儲(chǔ)器芯片地址引腳相連,片選尋址:高位地址經(jīng)譯碼與存儲(chǔ)器芯片片選引腳相連,譯碼方式,只使用部分微處理器地址總線進(jìn)行譯碼,沒(méi)有使用的地址信號(hào)對(duì)存儲(chǔ)器芯片的工作不產(chǎn)生影響,部分譯碼,地址重復(fù),譯碼簡(jiǎn)單,全譯碼,地址唯一,空間連續(xù),第六章 輸入,/,輸出接口,輸入,/,輸出基本概念,接口、端口的基本概念,接口,:外設(shè)與總線之間的中間環(huán)節(jié),是計(jì)算

    25、機(jī)與外設(shè)交換信息的控制電路。,端口,:接口電路中可以被尋址訪問(wèn)的存儲(chǔ)單元??煞譃閿?shù)據(jù)端口、狀態(tài)端口、控制端口。,一個(gè)接口電路可以具有多個(gè),I/O,端口(寄存器),每個(gè)端口用來(lái),保存和交換不同的信息,可分為數(shù)據(jù)端口、狀態(tài)端口、控制端口,,用于保存數(shù)據(jù)、狀態(tài)和控制信息,。,接口的功能,數(shù)據(jù)緩沖,:外設(shè)數(shù)據(jù)輸入到總線時(shí)需要緩沖。,數(shù)據(jù)鎖存,:總線數(shù)據(jù)輸出到外設(shè)時(shí)的鎖存,解決,CPU,與外設(shè)速度不匹配問(wèn)題。,傳輸控制命令和狀態(tài)信息,:計(jì)算機(jī)與外設(shè)有時(shí)需使用硬件握手。例如:計(jì)算機(jī)利用查詢(xún)輸出到打印機(jī)。,信息轉(zhuǎn)換,:串并轉(zhuǎn)換、數(shù)模轉(zhuǎn)換、電平格式轉(zhuǎn)換,對(duì),I/O,端口尋址:,對(duì)各設(shè)備的,I/O,端口譯碼尋址

    26、,I/O,端口的編址方式,I/O,端口與存儲(chǔ)器統(tǒng)一編址,把一個(gè)外設(shè)端口看作存儲(chǔ)器的一個(gè)單元,占用存儲(chǔ)器的地址空間,,利用對(duì)存儲(chǔ)器的讀寫(xiě)操作完成數(shù)據(jù)的輸入和輸出。,優(yōu)點(diǎn),不需要專(zhuān)門(mén)的輸入輸出指令,可使用全部對(duì)存儲(chǔ)器操作的指令,指令數(shù)量多,端口數(shù)量多,缺點(diǎn),占用存儲(chǔ)器空間,尋址時(shí)地址線數(shù)多,譯碼復(fù)雜,0,地址空間,(,共,1MB),內(nèi)存地址,(960KB),I/O,地址,(64KB),FFFFFH,EFFFFH,F0000H,I/O,端口獨(dú)立編址,計(jì)算機(jī)單獨(dú)給外設(shè)端口編址,,I/O,端口具有獨(dú)立的地址空間,。計(jì)算機(jī)需用控制信號(hào)區(qū)分存儲(chǔ)器空間和,I/O,端口空間(例,8086 CPU,的,M/IO,

    27、)。,優(yōu)點(diǎn),I/O,空間較存儲(chǔ)器空間小,地址線少,譯碼電路相對(duì)簡(jiǎn)單,。,不占用存儲(chǔ)器空間,缺點(diǎn),要有專(zhuān)門(mén)輸入輸出指令,尋址方式少,不靈活,80x86,采用,I/O,端口獨(dú)立編址,00000H,內(nèi)存地址空間,內(nèi)存空間,(1MB),FFFFFH,I/O,空間,(64KB),FFFFH,I/O,地址空間,0000H,8086 I/O,空間,8086,系統(tǒng)使用,16,根地址線(,A15A0,)尋址,I/O,端口,可尋址,64KB,個(gè),I/O,端口;,偶地址端口數(shù)據(jù)使用低,8,位數(shù)據(jù)總線傳送,奇地址端口數(shù)據(jù)使用高,8,位數(shù)據(jù)總線傳送;,奇、偶地址端口的數(shù)據(jù)傳送由,BHE,和,A0,控制;,I/O,端口的

    28、讀,/,寫(xiě)選通信號(hào)為,IOR,和,IOW,。,計(jì)算機(jī)系統(tǒng)中,多使用,8,位端口。,8086,系統(tǒng)的,8,位端口使用數(shù)據(jù)線的低,8,位傳送信息,所以端口地址的編址多為偶地址。,8088/8086 CPU,的,I/O,編址方式,采用,I/O,獨(dú)立編址方式,(,但地址線與存儲(chǔ)器共用,),地址線上的地址信號(hào)用 來(lái)區(qū)分:,時(shí)為,I/O,地址,I/O,操作只使用,20,根地址線中的,16,根:,A,15,A,0,可,尋址的,I/O,端口數(shù)為,64K(65536),個(gè),I/O,地址范圍為,0,FFFFH,IBM PC/XT,只使用了,1024,個(gè),I/O,地址,(0,3FFH),M/IO=0,M/IO,控制

    29、總線,CB,地址總線,AB,I/O,接口電路,數(shù)據(jù),控制,狀態(tài),數(shù)據(jù)總線,DB,CPU,外設(shè),控制寄存器,狀態(tài)寄存器,數(shù)據(jù)寄存器,I/O,接口的典型結(jié)構(gòu),CPU,與外設(shè)的數(shù)據(jù)傳送方式,無(wú)條件數(shù)據(jù)傳輸方式,查詢(xún)傳輸方式,中斷傳輸方式,了解,DMA,傳輸方式及,DMA,控制器,8237,常用輸入,/,輸出電路設(shè)計(jì),無(wú)條件傳送,微處理器與慢速變化的設(shè)備交換數(shù)據(jù),外設(shè)總是處于,“,就緒,”,狀態(tài),隨時(shí)可以進(jìn)行數(shù)據(jù)傳送,無(wú)條件傳送的接口電路:,只考慮數(shù)據(jù)緩沖,無(wú)條件傳送的軟件編程:十分簡(jiǎn)單,輸入時(shí)執(zhí)行輸入,IN,指令,mov,dx,8000h,in,al,dx,mov,bufin,al,輸出時(shí)執(zhí)行輸出,

    30、OUT,指令,mov,al,bufout,mov,dx,8000h,out,dx,al,無(wú)條件傳送接口電路,輸入接口電路連接開(kāi)關(guān):讀取開(kāi)關(guān)狀態(tài),輸出接口電路連接發(fā)光二極管,LED,功能要求:開(kāi)關(guān)閉合時(shí),將相應(yīng),LED,點(diǎn)亮,調(diào)用延時(shí)子程序,DELAY,保持一定時(shí)間,mov,dx,8000h,;DX,指向輸入端口,in,al,dx,;,從輸入端口讀開(kāi)關(guān)狀態(tài),not al,;,求反,out,dx,al,;,送輸出端口顯示,call delay,;,調(diào)子程序,DELAY,進(jìn)行延時(shí),示意圖,查詢(xún)傳送,查詢(xún)傳送有,查詢(xún),和傳送兩個(gè)環(huán)節(jié),首先查詢(xún)外設(shè)工作狀態(tài),檢測(cè)、等待外設(shè)準(zhǔn)備就緒,進(jìn)行數(shù)據(jù)傳輸,設(shè)計(jì)實(shí)現(xiàn)

    31、查詢(xún)功能的電路,連接外設(shè)的狀態(tài)輸入信號(hào),保存在,狀態(tài)寄存器,中,通過(guò)狀態(tài)端口讀取,實(shí)際中常引入超時(shí)判斷,查詢(xún)需大量時(shí)間,效率較低,查詢(xún)輸入接口,讀取狀態(tài)端口查詢(xún)外設(shè)狀態(tài),若已就緒,讀取數(shù)據(jù)端口得到外設(shè)提供的數(shù)據(jù),mov,dx,5001h,;DX,指向,狀態(tài)端口,status:in,al,dx,;,讀狀態(tài)端口,test al,01h,;,測(cè)試狀態(tài)位,D0,jz,status,;D0,0,,未就緒,繼續(xù)查詢(xún),dec,dx,;D0,1,,就緒,,DX,改指,數(shù)據(jù)端口,in,al,dx,;,從數(shù)據(jù)端口輸入數(shù)據(jù),示意圖,查詢(xún)輸出接口,讀取狀態(tài)端口查詢(xún)外設(shè)狀態(tài),若已就緒,將數(shù)據(jù)寫(xiě)入數(shù)據(jù)端口輸出給外設(shè),mo

    32、v,dx,5001h,;DX,指向,狀態(tài)口,status:in,al,dx,;,讀取狀態(tài)口的狀態(tài)數(shù)據(jù),test al,80h,;,測(cè)試標(biāo)志位,D7,jnz,status,;D7,1,,未就緒,繼續(xù)查詢(xún),dec,dx,;D7,0,,就緒,,DX,改指,數(shù)據(jù)口,mov,al,buf,;,將變量,BUF,送,AL,out,dx,al,;,將,AL,中的數(shù)據(jù)送數(shù)據(jù)口,示意圖,中斷傳送,微處理器在執(zhí)行程序過(guò)程中,被內(nèi)部或外部的事件所打斷,轉(zhuǎn)去執(zhí)行一段預(yù)先安排好的中斷服務(wù)程序;服務(wù)結(jié)束后,又返回原來(lái)的斷點(diǎn),繼續(xù)執(zhí)行原來(lái)的程序,中斷源,引起中斷的事件或原因,內(nèi)部中斷,外部中斷,可屏蔽中斷,非屏蔽中斷,第七章

    33、 中斷與中斷控制,PC,機(jī)中斷系統(tǒng),基本概念,了解中斷的功能,掌握中斷源、現(xiàn)場(chǎng)、斷點(diǎn)、返回地址的概念,了解中斷的原理和實(shí)現(xiàn),中斷優(yōu)先級(jí),了解優(yōu)先級(jí)的概念,掌握,PC,機(jī)對(duì)中斷優(yōu)先級(jí)的處理規(guī)則,斷點(diǎn):,CPU,響應(yīng)中斷時(shí),會(huì)停止當(dāng)前執(zhí)行程序,轉(zhuǎn)去執(zhí)行中斷處理程序,原程序被打斷的地方,斷點(diǎn)地址:,中斷處理程序結(jié)束后,返回原程序恢復(fù)執(zhí)行的第一條指令的地址,又稱(chēng),“返回地址”,。,現(xiàn)場(chǎng),是指進(jìn)入中斷服務(wù)程序之前,CPU,各個(gè)寄存器的狀態(tài)。,中斷系統(tǒng)的功能,(一)實(shí)現(xiàn)中斷及返回,檢測(cè)中斷,響應(yīng)中斷時(shí)保護(hù)斷點(diǎn)和現(xiàn)場(chǎng),中斷服務(wù)完成時(shí)將中斷申請(qǐng)信號(hào)撤銷(xiāo),中斷服務(wù)完成后恢復(fù)現(xiàn)場(chǎng)和斷點(diǎn),返回原程序,(二)對(duì)中斷進(jìn)

    34、行控制,對(duì)中斷申請(qǐng)進(jìn)行控制,對(duì)中斷響應(yīng)進(jìn)行控制,(三)實(shí)現(xiàn)優(yōu)先權(quán)排隊(duì),(四)尋找中斷源,優(yōu)先權(quán)排隊(duì),當(dāng)系統(tǒng)中多個(gè)中斷源同時(shí)發(fā)出中斷請(qǐng)求時(shí),,CPU,按照重要性和急迫性,(,中斷優(yōu)先級(jí),),擇優(yōu)響應(yīng):,不同優(yōu)先級(jí)同時(shí)請(qǐng)求時(shí),,CPU,先響應(yīng)高優(yōu)先級(jí)中斷;,CPU,在處理低優(yōu)先級(jí)中斷時(shí),若出現(xiàn)高優(yōu)先級(jí)中斷請(qǐng)求,則轉(zhuǎn)去處理高優(yōu)先級(jí)中斷,(,中斷嵌套,),;,CPU,在處理高優(yōu)先級(jí)中斷時(shí),若出現(xiàn)低優(yōu)先級(jí)中斷請(qǐng)求,暫不響應(yīng);,CPU,在處理中斷時(shí),若出現(xiàn)同級(jí)別中斷請(qǐng)求,則等當(dāng)前中斷處理完后,才處理新的請(qǐng)求。,8086/8088,的中斷結(jié)構(gòu),中斷的分類(lèi):硬件中斷和軟件中斷,中斷類(lèi)型碼,可屏蔽中斷、不可屏蔽

    35、中斷,2,個(gè)硬件中斷,常用軟件中斷,除數(shù)為,0,中斷(,INT 0,),單步中斷(,INT 1,),斷點(diǎn)中斷(,INT 3,),INTO,指令(中斷類(lèi)型碼為,4,),INT n,指令,中斷源,任何能引發(fā)中斷的事件都稱(chēng)為,中斷源,,可分為:,硬件中斷源:,I/O,設(shè)備,系統(tǒng)時(shí)鐘,故障源等,軟件中斷源:,程序中斷指令,(INT 3),,指令運(yùn)行出錯(cuò),(INTO),等,8086/8088,的外部中斷信號(hào):,INTR,、,NMI,INTR,可屏蔽中斷請(qǐng)求,高電平有效,受,IF,標(biāo)志的控制。,IF=1,時(shí),執(zhí)行完當(dāng)前指令后,CPU,對(duì)它作出響應(yīng)。,NMI,非屏蔽中斷請(qǐng)求,上升沿有效,任何時(shí)候,CPU,都

    36、要響應(yīng)此中斷請(qǐng)求信號(hào)。,查詢(xún)中斷的順序,,決定了各種中斷源的優(yōu)先權(quán),軟件中斷,除法錯(cuò)中斷,指令中斷,溢出中斷,非屏蔽中斷,可屏蔽中斷,單步中斷,高,低,PC,機(jī)的中斷向量,中斷向量:中斷服務(wù)程序的入口地址(首地址)每個(gè)中斷類(lèi)型碼對(duì)應(yīng)一個(gè)中斷向量,每個(gè)中斷向量需占用,4,個(gè)字節(jié)。中斷向量的低字是偏移地址,(IP),,低字節(jié)在前,高字節(jié)在后;高字是段地址,(CS),,低字節(jié)在前,高字節(jié)在后,8086/8088,微處理器從物理地址,000H,開(kāi)始,依次安排各個(gè)中斷向量,向量號(hào)也從,0,開(kāi)始,8086/8088,CPU,在內(nèi)存,00000H,003FFH,的,1KB,地址空間內(nèi),為,256,個(gè)中斷建立

    37、一個(gè),中斷向量表,,,中斷向量表就是中斷服務(wù)程序入口地址表。,類(lèi)型,255,指針,除數(shù)為,0,單步,非屏蔽,斷點(diǎn),溢出,003FCH,00000H,00004H,00008H,0000CH,00010H,CS,IP,段地址,偏移量,每個(gè),中斷類(lèi)型碼,對(duì)應(yīng)一個(gè)中斷向量,即,4,個(gè)字節(jié)的程序入口地址,中斷類(lèi)型碼乘以,4,便可得到中斷向量表中存放中斷服務(wù)程序入口地址的存儲(chǔ)單元的偏移量。,0,4,: 專(zhuān)用中斷類(lèi)型,5,1FH,:保留中斷類(lèi)型,(,可修改中斷服務(wù)程序,),20H,0FFH,:用戶(hù)可用中斷類(lèi)型,其中:,8,0FH,是,8,個(gè)硬件中斷,中斷類(lèi)型碼為,N,的中斷向量的物理地址,N4,中斷響應(yīng)過(guò)

    38、程,中斷檢測(cè),CPU,在每條指令執(zhí)行的最后一個(gè)時(shí)鐘周期檢測(cè)中斷請(qǐng)求,如果出現(xiàn)以下之一,則進(jìn)入中斷響應(yīng)周期。,有軟件中斷,有,NMI,中斷信號(hào),有,INTR,中斷信號(hào),而且,CPU,允許中斷響應(yīng),即,IF=1,獲取中斷類(lèi)型碼,若是,INTR,,則產(chǎn)生,INTA,信號(hào),中斷源通過(guò)數(shù)據(jù)總線的低,8,位將中斷類(lèi)型碼傳送給,CPU,;,其余默認(rèn)類(lèi)型碼;,得到中斷向量,系統(tǒng)根據(jù)中斷類(lèi)型碼形成中斷服務(wù)程序的入口地址,轉(zhuǎn)入中斷服務(wù)程序執(zhí)行,每個(gè)中斷都有自己的處理程序。,中斷服務(wù)處理,進(jìn)入中斷服務(wù)程序后,通常由以下步驟組成,保護(hù)現(xiàn)場(chǎng),開(kāi)中斷,中斷處理,關(guān)中斷,恢復(fù)現(xiàn)場(chǎng),又開(kāi)中斷,用中斷返回指令,(IRET),返

    39、回,6.,中斷返回:,從堆棧中恢復(fù)斷點(diǎn)處,CS:IP,和,FLAG,保護(hù)斷點(diǎn),系統(tǒng)自動(dòng)將標(biāo)志寄存器壓入堆棧,清除,TF,和,IF,,并將斷點(diǎn)處的,CS,和,IP,壓入堆棧,先壓,CS,后壓,IP,。,中斷控制器,8259,了解,8259,功能、內(nèi)部結(jié)構(gòu)及引腳信號(hào),8259,與計(jì)算機(jī)的連接,單片,8259,與計(jì)算機(jī)的接口電路,2,片,8259,級(jí)聯(lián)與計(jì)算機(jī)的接口電路,8259,的初始化命令字,ICW1ICW4,8259,操作命令字,操作命令字,OCW1,(掌握對(duì),8259,中斷申請(qǐng)的屏蔽和允許),操作命令字,OCW2,,主要是其中,EOI,位的功能和操作,了解操作命令字,OCW3,中斷初始化舉例

    40、,IBM PC/XT,機(jī)對(duì)單片,8259,的初始化,IBM AT/286,以上機(jī)器對(duì),2,片級(jí)聯(lián)的,8259,的初始化,中斷的編程方法,中斷向量的保存與安裝,中斷服務(wù)程序的編寫(xiě),中斷服務(wù)程序與主程序協(xié)調(diào)工作,定時(shí)器,/,計(jì)數(shù)器,8253,8253,功能、內(nèi)部結(jié)構(gòu),8253,與計(jì)算機(jī)的連接,8253,的控制字,8253,的工作方式,方式,0,計(jì)數(shù)結(jié)束產(chǎn)生中斷方式,方式,1,可重新觸發(fā)的單穩(wěn)態(tài)觸發(fā)器,方式,2,分頻器方式,方式,3,方波發(fā)生器方式,方式,4,軟件觸發(fā)選通方式,方式,5,硬件觸發(fā)選通方式,8253,的編程與應(yīng)用,ROM BIOS,對(duì),8253,定時(shí)器,0,、定時(shí)器,1,、定時(shí),2,的初始化,8253,應(yīng)用,第八章,I/O,接口技術(shù),第九章 模數(shù)數(shù)模轉(zhuǎn)換,DAC,接口電路設(shè)計(jì)及應(yīng)用,8,位,DAC,接口電路、,T,型電阻解碼網(wǎng)絡(luò)實(shí)現(xiàn),DAC,原理,利用,8,位,DAC,產(chǎn)生正向鋸齒波、負(fù)向鋸齒波、三角波,ADC,接口電路設(shè)計(jì)及應(yīng)用,常用,AD,轉(zhuǎn)換方法,了解用軟件和,DAC,實(shí)現(xiàn)逐次逼近式,ADC,的設(shè)計(jì),第十章 串行通信,異步串行通信、同步串行通信的特點(diǎn),掌握波特率、線路傳輸方式、信號(hào)傳輸方式的基本概念,RS-232C,標(biāo)準(zhǔn)及其,9,針信號(hào)定義,掌握,RS-232C,的電平標(biāo)準(zhǔn),兩臺(tái),PC,機(jī)通過(guò),RS232,接口進(jìn)行串行通信的硬件連接,

    展開(kāi)閱讀全文
    溫馨提示:
    1: 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
    2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
    3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
    4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
    5. 裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
    6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
    7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

    相關(guān)資源

    更多
    正為您匹配相似的精品文檔
    關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

    copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話(huà):18123376007

    備案號(hào):ICP2024067431號(hào)-1 川公網(wǎng)安備51140202000466號(hào)


    本站為文檔C2C交易模式,即用戶(hù)上傳的文檔直接被用戶(hù)下載,本站只是中間服務(wù)平臺(tái),本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請(qǐng)立即通知裝配圖網(wǎng),我們立即給予刪除!

    欧美久久久一区二区三区,国产精品亚洲一区二区无码,亚洲国产精品综合久久20声音,亚洲国产精品无码久久久蜜芽
    <span id="plx27"><var id="plx27"></var></span>
    <dfn id="plx27"><var id="plx27"></var></dfn>
  • <span id="plx27"><code id="plx27"><input id="plx27"></input></code></span>
    <menu id="plx27"></menu><menuitem id="plx27"><thead id="plx27"><input id="plx27"></input></thead></menuitem>
  • <label id="plx27"><code id="plx27"></code></label>
    <label id="plx27"><button id="plx27"></button></label>