<span id="plx27"><var id="plx27"></var></span>
<dfn id="plx27"><var id="plx27"></var></dfn>
  • <span id="plx27"><code id="plx27"><input id="plx27"></input></code></span>
    <menu id="plx27"></menu><menuitem id="plx27"><thead id="plx27"><input id="plx27"></input></thead></menuitem>
  • <label id="plx27"><code id="plx27"></code></label>
    <label id="plx27"><button id="plx27"></button></label>

    第7章可編程邏輯器件及其應(yīng)用-PowerPoint演示

    上傳人:嘀****l 文檔編號:248231492 上傳時間:2024-10-23 格式:PPT 頁數(shù):37 大?。?.05MB
    收藏 版權(quán)申訴 舉報 下載
    第7章可編程邏輯器件及其應(yīng)用-PowerPoint演示_第1頁
    第1頁 / 共37頁
    第7章可編程邏輯器件及其應(yīng)用-PowerPoint演示_第2頁
    第2頁 / 共37頁
    第7章可編程邏輯器件及其應(yīng)用-PowerPoint演示_第3頁
    第3頁 / 共37頁

    下載文檔到電腦,查找使用更方便

    12 積分

    下載資源

    還剩頁未讀,繼續(xù)閱讀

    資源描述:

    《第7章可編程邏輯器件及其應(yīng)用-PowerPoint演示》由會員分享,可在線閱讀,更多相關(guān)《第7章可編程邏輯器件及其應(yīng)用-PowerPoint演示(37頁珍藏版)》請在裝配圖網(wǎng)上搜索。

    1、Click to edit Master title style,Click to edit Master text styles,Second level,Third level,Fourth level,Fifth level,*,*,單擊此處編輯母版標(biāo)題樣式,單擊此處編輯母版文本樣式,第二級,第三級,第四級,第五級,*,第7章:可編程邏輯器件及其應(yīng)用,數(shù)字集成電路按芯片設(shè)計方法不同分為:,1.通用型中、小規(guī)模集成電路,2.用軟件組態(tài)的大規(guī)模、超大規(guī)模集成電路,3.專用集成電路(ASIC)。,ASIC:專門為某一應(yīng)用領(lǐng)域或為專門用戶需要而設(shè)計、,制造的LSI、VLSI電路,它可將某些專用電

    2、路,或電子系統(tǒng)設(shè)計在一塊芯片上,構(gòu)成單片集成,系統(tǒng)。,ASIC:全定制、半定制,全定制制造廠按用戶提出的邏輯要求專門設(shè),計和制造的芯片。,半定制按一定規(guī)格預(yù)先加工好的半成品芯片,,然后再按具體要求進(jìn)行加工。包括門,陣列(GA)、標(biāo)準(zhǔn)單元(SCA)、可編,程邏輯器件(PLD)。,第7章:可編程邏輯器件及其應(yīng)用,可編程邏輯器件分類:,可編程邏輯器件(PLD),簡單可編程邏輯器件(SPLD),高密度可編程邏輯器件(HDPLD),復(fù)雜可編程邏輯器件(CPLD),現(xiàn)場可編程門陣列(FPGA),SPLD:PROM、FPLA、PAL、GAL,可編程邏輯器件(PLD):,芯片由制造廠生產(chǎn),但用戶可借用設(shè)計自動

    3、化軟件和編程器自行設(shè)計和編程,實現(xiàn)數(shù)字系統(tǒng)。,可編程邏輯器件及其應(yīng)用,用PLD實現(xiàn)數(shù)字系統(tǒng)的基本過程:,用PLD實現(xiàn)數(shù)字系統(tǒng)的優(yōu)點:,1、高密度,2、工作速度高,3、在線可編程技術(shù) isp,4、設(shè)計工具不斷完善,7-1 PLD的基本原理,7-2 簡單可編程邏輯器件,7-1 PLD的基本原理,7-1-1 PLD的基本組成,7-1-2 PLD的編程,7-1-3 陣列結(jié)構(gòu),7-1-4 PLD中陣列的表示方法,PLD:,用戶可編程,可實現(xiàn)各種組合邏輯和時序邏輯的功能。,7-1-1 PLD的基本組成,組合邏輯常用與或式表示,PLD則包含了與門陣列和或門陣列。,7-1-2 PLD的編程,編程:,按要求燒斷

    4、某些熔絲,以滿足輸出函數(shù)的要求。,PLD 一次性編程,可重復(fù)編程紫外線可擦除,電可擦除,7-1-3 陣列結(jié)構(gòu),PLD的與陣和或陣常用三極管(TTL)或場效應(yīng)管(MOS)組成。,4種SPLD器件結(jié)構(gòu)特點,7-1-4 PLD中陣列的表示方法(1),PLD中陣列的表示方法(2),7-2 簡單可編程邏輯器件(SPLD),7-2-1 只讀存儲器ROM,7-2-2 可編程邏輯陣列PLA,7-2-3 可編程陣列邏輯PAL,7-2-4 通用陣列邏輯GAL,SPLD:,用與陣和或陣是其片內(nèi)的基本資源。,7-2-1 只讀存儲器ROM,ROM:,包含一個不可編程的與陣和一個可編程的或陣。,1、組成原理,43 ROM

    5、編程前后圖,2、,ROM的分類,固定只讀存儲器(PROM),紫外線照射擦除的存儲器(EPROM),電擦除的存儲器(E,2,PROM),3、用,ROM實現(xiàn)組合邏輯,例7-2-1,用ROM實現(xiàn)2,2乘法器,例7-2-2,用ROM實現(xiàn)字符發(fā)生器,7-2-2 可編程邏輯陣列(PLA),PLA:,包含的與陣和或陣都可編程。,1、組成原理,2、組合PLA的應(yīng)用,用,8,4PLA實現(xiàn)2,2乘法器,3、時序PLA的應(yīng)用,時序,PLA的基本結(jié)構(gòu),用,PLA實現(xiàn)8加/減計數(shù)器(1),1,1,1,0,10,1,0,1,1,11,0,1,0,0,01,0,0,0,1,00,10,11,01,00,0,1,0,1,10

    6、,1,0,1,0,11,1,0,1,0,01,0,1,0,1,00,10,11,01,00,0,0,1,1,10,0,0,1,1,11,0,0,1,1,01,0,0,1,1,00,10,11,01,00,用,PLA實現(xiàn)8加/減計數(shù)器(2),7-2-3 可編程陣列邏輯(PAL),PAL:,包含的與陣可編程,或陣不可編程。,1、組成原理,2、PAL的輸出結(jié)構(gòu),、專用輸出結(jié)構(gòu),、可編程I/O結(jié)構(gòu),、帶反饋的寄存器輸出結(jié)構(gòu),、異或型輸出結(jié)構(gòu),3、PAL的應(yīng)用(1),例7-2-5 用PAL實現(xiàn)如圖邏輯電路,PAL的應(yīng)用(2),例7-2-6 用PAL實現(xiàn)3位循環(huán)碼計數(shù)器,PAL16R4,7-2-4 通用陣

    7、列邏輯(GAL),GAL:,包含的與陣可編程,或陣不可編程,輸出電路為邏輯宏單元OLMC。,GAL16V8功能圖,1、,邏輯宏單元(OLMC),OLMC:,包含一個或門,一個異或門,一個D觸發(fā)器,四個MUX,2、,OLMC的輸出結(jié)構(gòu)(1),、簡單模式,、專用輸入模式,、專用輸出模式,OLMC的輸出結(jié)構(gòu)(2),、復(fù)合模式,OLMC的輸出結(jié)構(gòu)(3),、寄存器模式,、寄存器輸出結(jié)構(gòu),、寄存器組合I/O結(jié)構(gòu),3、GAL應(yīng)用舉例,例7-2-7 用GAL16V8實現(xiàn)組合邏輯函數(shù),例7-2-7 用GAL16V8實現(xiàn)組合邏輯函數(shù)(2),ABEL語言源程序,ABEL語言編譯結(jié)果,例7-2-8 用GAL16V8實

    8、現(xiàn)10加/減計數(shù)器,用GAL16V8實現(xiàn)10加/減計數(shù)器(2),演講完畢,謝謝觀看!,內(nèi)容總結(jié),第7章:可編程邏輯器件及其應(yīng)用。ASIC:專門為某一應(yīng)用領(lǐng)域或為專門用戶需要而設(shè)計、。制造的LSI、VLSI電路,它可將某些專用電路。ASIC:全定制、半定制。全定制制造廠按用戶提出的邏輯要求專門設(shè)。半定制按一定規(guī)格預(yù)先加工好的半成品芯片,。7-1-2 PLD的編程。7-1-3 陣列結(jié)構(gòu)。7-1-4 PLD中陣列的表示方法。PLD:用戶可編程,可實現(xiàn)各種組合邏輯和時序邏輯的功能。組合邏輯常用與或式表示,PLD則包含了與門陣列和或門陣列。編程:按要求燒斷某些熔絲,以滿足輸出函數(shù)的要求。7-1-4 PLD中陣列的表示方法(1)。7-2 簡單可編程邏輯器件(SPLD)。7-2-1 只讀存儲器ROM。7-2-3 可編程陣列邏輯PAL。7-2-4 通用陣列邏輯GAL。固定只讀存儲器(PROM)。紫外線照射擦除的存儲器(EPROM)。電擦除的存儲器(E2PROM)。例7-2-1。演講完畢,謝謝觀看,

    展開閱讀全文
    溫馨提示:
    1: 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
    2: 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
    3.本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
    4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
    5. 裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
    6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
    7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

    相關(guān)資源

    更多
    正為您匹配相似的精品文檔
    關(guān)于我們 - 網(wǎng)站聲明 - 網(wǎng)站地圖 - 資源地圖 - 友情鏈接 - 網(wǎng)站客服 - 聯(lián)系我們

    copyright@ 2023-2025  zhuangpeitu.com 裝配圖網(wǎng)版權(quán)所有   聯(lián)系電話:18123376007

    備案號:ICP2024067431-1 川公網(wǎng)安備51140202000466號


    本站為文檔C2C交易模式,即用戶上傳的文檔直接被用戶下載,本站只是中間服務(wù)平臺,本站所有文檔下載所得的收益歸上傳人(含作者)所有。裝配圖網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對上載內(nèi)容本身不做任何修改或編輯。若文檔所含內(nèi)容侵犯了您的版權(quán)或隱私,請立即通知裝配圖網(wǎng),我們立即給予刪除!

    欧美久久久一区二区三区,国产精品亚洲一区二区无码,亚洲国产精品综合久久20声音,亚洲国产精品无码久久久蜜芽
    <span id="plx27"><var id="plx27"></var></span>
    <dfn id="plx27"><var id="plx27"></var></dfn>
  • <span id="plx27"><code id="plx27"><input id="plx27"></input></code></span>
    <menu id="plx27"></menu><menuitem id="plx27"><thead id="plx27"><input id="plx27"></input></thead></menuitem>
  • <label id="plx27"><code id="plx27"></code></label>
    <label id="plx27"><button id="plx27"></button></label>